کمک در مورد vhdl

soheil_147

عضو جدید
با سلام خدمت دوستان
واسه درس منطقی باید مداری که ضمیمه کردمو با vhdl بنویسم و هیچی هم نمیدونم ازش!
میشه کسی کمکم کنه؟
15 (1).jpg
 

Esmaeilpoor

عضو جدید
کد مدار

;LIBRARY ieee
;USE ieee.std_logic_1164.ALL
;USE ieee.std_logic_unsigned.ALL
---------------------------------------------
ENTITY Example IS
; PORT ( x,y,B : IN std_logic
;D,E : OUT std_logic)
;END Example
---------------------------------------------
ARCHITECTURE behave OF Example IS
;signal in1,in2,in3,in4,in5: std_logic

BEGIN
process
;variable temp:std_logic
begin
;in1<=x xor y
;in2<= not x
;in3<= not in1
;in4<= in2 and y
;in5<= in3 and B
;temp:= x nor B
; D <= not temp
; E<= in5 or in4
;end process
;End behave
 

Esmaeilpoor

عضو جدید
فایل مجموعه دستورات VHDL.

فایل مجموعه دستورات VHDL.

فایل مجموعه دستورات برای برنامه نویسی به زبان VHDL.
 

پیوست ها

  • Stracture_vhdl_Techno-Electro.com_.pdf
    358.5 کیلوبایت · بازدیدها: 0

Similar threads

بالا