Modelsim SE 6.5b Download

behnam5670

عضو جدید
کاربر ممتاز
دانلود نرم افزار Modelsim SE 6.5b

ModelSim SE - High Performance Simulation and Debug






ModelSim SE is our UNIX, Linux, and Windows-based simulation and debug environment, combining high performance with the most powerful and intuitive GUI in the industry.
What's New in ModelSim SE?
- Improved FSM debug options including control of basic information, transition table and warning messages.
- Added support of FSM Multi-state transitions coverage (i.e. coverage for all possible FSM state sequences).
- Improved debugging with hyperlinked navigation between objects and their declaration, and between visited source files.
- The dataflow window can now compute and display all paths from one net to another.
- Enhanced code coverage data management with fine grain control of information in the source window.
- Toggle coverage has been enhanced to support SystemVerilog types:
structures, packed unions, fixed-size multi-dimensional arrays and real.
- Some IEEE VHDL 2008 features are supported including source code encryption.
- Added support of new VPI types, including packed arrays of struct nets and variables.
ModelSim SE Features:
- Multi-language, high performance simulation engine
- Verilog, VHDL, SystemVerilog Design
- Code Coverage
- SystemVerilog for Design
- Integrated debug
- JobSpy Regression Monitor
- Mixed HDL simulation option
- SystemC Option
- TCL/tk
- Solaris and Linux 32 & 64-bit
- Windows 32-bit
ModelSim SE Benefits:
- High performance HDL simulation solution for FPGA & ASIC design teams
- The best mixed-language environment and performance in the industry
- Intuitive GUI for efficient interactive or post-simulation debug of RTL and gate-level designs
- Merging, ranking and reporting of code coverage for tracking verification progress
- Sign-off support for popular ASIC libraries
- All ModelSim products are 100% standards based.
This means your investment is protected, risk is lowered, reuse is enabled, and productivity is enhanced
- Award-winning technical support




Modelsim یک محیط شبیه ساز و دیباگ کننده برای طراحی های پیچیده ی FPGA, VLSI, CPDL, ASIC, SoC است که از زبان های متعددی مثال Verilog, SystemVerilog, VHDL, SystemC ساپورت می کند.



دانلود
:


http://www.mediafire.com/download.php?eomr25gimck5zv2
http://www.mediafire.com/download.php?08v3ee83wyf8ct0
http://www.mediafire.com/download.php?e61ez16h656f44u

حجم کلی: 207 مگابایت
پسورد فایلها www.www.iran-eng.ir است.

دانلود لایسنس
مشاهده پیوست Generic Crack.zip

راهنمای نصب:
- نصب نرم افزار ممکن است طولانی شود، لطفا کنسل نکنید
- در مورد اضافه کردن Modelsim به Path، گزینه ی YES را انتخاب کنید
- در پایان نصب در مورد hardware security key driver گزینه ی NO را انتخاب کنید
- در پایان نصب، پنجره ی Licence Wizard نمایش داده می شود (در صورت عدم نمایش، می توانید از منوی استارت وینذوز به شرتکات این ویزارد دسترسی پیدا کنید)، به پوشه ی Generics Crack رفته فایل MentorKG.exe را اجرا کنید. سپس در پنجره ی Licence Wizard روی Install new Wizard کلیک کنید و مسیر کامل Licence.txt که در همان پوشه قرار دارد را وارد کنید سپس continue را بزنید.
اگر با کلیک روی Install new Wizard، هر مشکلی مشکلی پیش آمد دوباره از منوی فایل ویزارد می توانید این گزینه را انتخاب کنید.




- در ادامه سوالی مبنی بر اضافه کردن آدرس فایل لایسنس به متغیرهای محیطی ویندوز پرسیده می شود که بله را انتخاب کنید.
- اگر مراحل را به درستی طی کرده باشید باید شکل زیر را ببینید



- پس از اولین اجرای برنامه، به سوالی که پرسیده می شود پاسخ NO بدهید



آموزش مقدماتی کار با Modelsim
کد:
http://www.4shared.com/document/6hLpBsDD/Modelsim_tutorial.html





ضمناً دوستان این نرم‌افزار و کرک‌ش رو بیش از 5 ساله تعداد زیادی از افراد بدون مشکل استفاده می‌کنند؛ اگر طبق راهنمایی پست اول برید مشکلی پیش نمیاد (برای ویندوز‌های 32 و 64 بیتی).
 
آخرین ویرایش توسط مدیر:

dornablue

عضو جدید
help

help

دوست عزیز وقتی توی Licence Wizard مسیر Licence.txt را وارد می کنم نوشته پایین می یاد چی کار باید بکنم؟

wrong host ID
The HostID in license file do not match the hostID of this mashine

در ضمن mentorKG هم اجرا نمی شه

لطفا کمک کنید باید تمرین تحویل بدم
 

erfan_com

اخراجی موقت
دوست عزیز وقتی توی Licence Wizard مسیر Licence.txt را وارد می کنم نوشته پایین می یاد چی کار باید بکنم؟

wrong host ID
The HostID in license file do not match the hostID of this mashine


در ضمن mentorKG هم اجرا نمی شه

لطفا کمک کنید باید تمرین تحویل بدم

سلام. منم ای مشکلو داشتم. واسه همین رفتم ورژن 5.8 رو نصب کردم که خیلی عالی هم بود.
البته اینایی که گفتم 4 5 سال پیش انجام دادم.
 

mostafa206

عضو جدید
سلام خسته نباشین
من تازه با این برنامه آشنا شدم چون یکی از درسای این ترممه
برنام ورژن 6.5 se رو نصب کردم متاسفانه موقع کامپایل کردن این خطا رو میده
خواهشا راهنمایی کنین
Cannot determine language of C:/altera/91/modelsim_ase/examples/j
 

m.malahat

عضو جدید
سلام. من برنامه model sim SE6.5 رو نصب کردم چندین بارم باهاش کار کردم اما امروز که خواستم باهاش کار کنم گزینه کامپایلش غیر فعال شده دیگه برنامه ای رو اجرا نمیکنه. لطفا راهنماییم کنید.مرسی.
 
Similar threads
Thread starter عنوان تالار پاسخ ها تاریخ
behnam5670 HSpice 2008-2009 Download سخت افزار 3

Similar threads

بالا